Este plugin no se ha probado con las últimas 3 versiones mayores de WordPress. Puede que ya no tenga asistencia ni lo mantenga nadie, o puede que tenga problemas de compatibilidad cuando se usa con las versiones más recientes de WordPress.

Add XDC Brush to SyntaxHighlighter Evolved

Descripción

This is a WordPress Plugin that adds support for Xilinx Design Constraint (XDC) files to the SyntaxHighlighter Evolved plugin.

Reseñas

No hay reseñas para este plugin.

Colaboradores y desarrolladores

“Add XDC Brush to SyntaxHighlighter Evolved” es un software de código abierto. Las siguientes personas han colaborado con este plugin.

Colaboradores